USE IEEE.STD_LOGIC_ARITH.用来干嘛的

来源:学生作业帮助网 编辑:作业帮 时间:2024/04/30 03:11:43
USE IEEE.STD_LOGIC_ARITH.用来干嘛的

USE IEEE.STD_LOGIC_ARITH.用来干嘛的
USE IEEE.STD_LOGIC_ARITH.用来干嘛的

USE IEEE.STD_LOGIC_ARITH.用来干嘛的
指定singned和unsigned数据类型和相应的算术与比较操作.它可以包含有几个数据转换函数,允许数据从一种类型转换到另一种类型.
建议库资源同时声明三个
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
这样可以省去很多的时间.
你可以去图书馆或者去询问大学数电教授,毕竟百度回答这种专业的问题,基本上都是驴唇不对马嘴的太多,课件也很少.想学VHDL最好还是去买一本专业的书.然后才能学CPLD和FPGA.想学专业的知识,英语得学好,网上的很多都是纯英文的.希望能对你有所帮助.

使用IEEE.STD逻辑算法,应该是计算机编程相关的东西。
STD总线是国际上流行的一种用于工业控制的标准微机总线,与1987年被批准为IEEE961标准。STD总线采用公共母版结构,即其总线布置在一块木板上,板上安装若干个插座,插座对应引脚都是连接到同一根总线信号线上。系统采用模块式结构,各种功能模块都按标准的插件尺寸制造。个功能模块可插入任意插座,只要模块的信号、引脚都符合STD规范,...

全部展开

使用IEEE.STD逻辑算法,应该是计算机编程相关的东西。
STD总线是国际上流行的一种用于工业控制的标准微机总线,与1987年被批准为IEEE961标准。STD总线采用公共母版结构,即其总线布置在一块木板上,板上安装若干个插座,插座对应引脚都是连接到同一根总线信号线上。系统采用模块式结构,各种功能模块都按标准的插件尺寸制造。个功能模块可插入任意插座,只要模块的信号、引脚都符合STD规范,就可以在STD总线上运行。因此可以根据需要组成不同规模的微机系统 。STD总线采用56线双列插座,插件尺寸为165.1mm×114.3mm,是8/16位微处理器总线标准。

收起

ieee是库,std_logic_arith是程序包,all是项目名
std_logic_arith程序包,它预先编译在ieee库中,实在std_logic_1164程序包的基础上扩展了三个数据类型unsigned、signed和small_int,并且定义了相关的算术运算符和数据类型转换函数。